Ubuntu开发环境打造

安装ubuntu18.04

下载:

https://mirrors.tuna.tsinghua.edu.cn/

配置清华软件源

https://mirrors.tuna.tsinghua.edu.cn/help/ubuntu/

双系统时间不同步问题

1
timedatectl set-local-rtc 1 --adjust-system-clock

安装Synaptic包管理器

1
sudo apt-get install synaptic

安装编译开发环境

1
sudo apt-get install build-essential

Home下目录改成英文

1
2
3
4
5
6
7
8
$ export LANG=en_US

$ xdg-user-dirs-gtk-update

这个时候会弹出一个配置界面,提示是否将中文目录切换为英文目录。选中不再提示,确定

最后再执行如下命令还原到系统之前的设置
$ export LANG=zh_CN

安装并配置VS Code

下载安装包

https://code.visualstudio.com/

安装

1
dpkg -i [安装包文件名]

启动

1
code

以超级用户启动

1
sudo code --user-data-dir="/home/wg/.vscode/"

安装插件

1

Ubuntu安装pytorch

版本:python3.6.9

安装pip

1
sudo apt-get install python3-pip

更换阿里源
修改 ~/.pip/pip.conf (没有就创建一个), 内容如下:

1
2
[global]
index-url = https://mirrors.aliyun.com/pypi/simple/

升级pip

1
sudo pip3 install --upgrade pip

安装常用包

1
2
3
4
pip3 install numpy
pip3 install opencv-python
pip3 install torch
pip3 install torchvision

下载离线安装包
torch torchvision python cuda

1.5.1 0.6.1 >=3.6 9.2, 10.1,10.2

1.5.0 0.6.0 >=3.6 9.2, 10.1,10.2

1.4.0 0.5.0 ==2.7, >=3.5, <=3.8 9.2, 10.0

1.3.1 0.4.2 ==2.7, >=3.5, <=3.7 9.2, 10.0

1.3.0 0.4.1 ==2.7, >=3.5, <=3.7 9.2, 10.0

1.2.0 0.4.0 ==2.7, >=3.5, <=3.7 9.2, 10.0

1.1.0 0.3.0 ==2.7, >=3.5, <=3.7 9.0, 10.0

<1.0.1 0.2.2 ==2.7, >=3.5, <=3.7 9.0, 10.0

1
2
sudo pip install torch-1.4.0-cp36-cp36m-linux_x86_64.whl
sudo pip install torchvision-0.5.0-cp36-cp36m-linux_x86_64.whl

安装Vivado 2018.2

下载安装包

https://china.xilinx.com/support/download.html

解压

1
tar xvzf xxx.tar.gz

执行安装

1
sudo ./xsetup

安装路径选择默认

安装JTAG驱动

1
2
cd /opt/Vivado/2018.2/data/xicom/cable_drivers/lin64/install_script/install_drivers/
sudo ./install_drivers

设置环境变量

1
sudo gedit .bashrc

添加命令别名

1
alias svvd='source /opt/Xilinx/Vivado/2018.2/settings64.sh'

启动

1
2
3
4
5
6
7
8
#启动Vivado
$ vivado

#启动Vivado HLS
$ vivado_hls

#启动XSDK
$ xsdk

安装SSH服务并开机自启

1
2
3
sudo apt-get install openssh-server
sudo systemctl enable ssh
sudo systemctl status ssh

安装GHex

1
sudo apt-get install ghex

安装Typora

https://typora.io/#linux

1
2
3
4
5
6
7
8
9
10
# or run:
# sudo apt-key adv --keyserver keyserver.ubuntu.com --recv-keys BA300B7755AFCFAE
wget -qO - https://typora.io/linux/public-key.asc | sudo apt-key add -

# add Typora's repository
sudo add-apt-repository 'deb https://typora.io/linux ./'
sudo apt-get update

# install typora
sudo apt-get install typora

安装坚果云(NutStore)

https://www.jianguoyun.com/s/downloads/linux

1
2
sudo dpkg -i nautilus_nutstore_amd64.deb
sudo apt-get install -f

安装网易云音乐

https://music.163.com/#/download

2

1
sudo dpkg -i xxx.deb

安装FlameShot

下载安装包

https://github.com/lupoDharkael/flameshot/releases

rpm包是yum的安装文件,.deb包才是Ubuntu安装包

  • bionic:Ubuntu18.04的版本名

  • jessie:Debian8.6版本的版本名

  • stretch:Debian9的版本名

1
dpkg -i flameshot_0.6.0_bionic_x86_64.deb

创建截图快捷键

3

破解安装Beyond Compare

http://www.scootersoftware.com/download.php

4

下载64位的.deb包,

1
dpkg -i [安装包文件名]

破解

1
2
转到安装路径:
cd /usr/lib/beyondcompare/
1
sudo sed -i "s/keexjEP3t4Mue23hrnuPtY4TdcsqNiJL-5174TsUdLmJSIXKfG2NGPwBL6vnRPddT7tH29qpkneX63DO9ECSPE9rzY1zhThHERg8lHM9IBFT+rVuiY823aQJuqzxCKIE1bcDqM4wgW01FH6oCBP1G4ub01xmb4BGSUG6ZrjxWHJyNLyIlGvOhoY2HAYzEtzYGwxFZn2JZ66o4RONkXjX0DF9EzsdUef3UAS+JQ+fCYReLawdjEe6tXCv88GKaaPKWxCeaUL9PejICQgRQOLGOZtZQkLgAelrOtehxz5ANOOqCaJgy2mJLQVLM5SJ9Dli909c5ybvEhVmIC0dc9dWH+/N9KmiLVlKMU7RJqnE+WXEEPI1SgglmfmLc1yVH7dqBb9ehOoKG9UE+HAE1YvH1XX2XVGeEqYUY-Tsk7YBTz0WpSpoYyPgx6Iki5KLtQ5G-aKP9eysnkuOAkrvHU8bLbGtZteGwJarev03PhfCioJL4OSqsmQGEvDbHFEbNl1qJtdwEriR+VNZts9vNNLk7UGfeNwIiqpxjk4Mn09nmSd8FhM4ifvcaIbNCRoMPGl6KU12iseSe+w+1kFsLhX+OhQM8WXcWV10cGqBzQE9OqOLUcg9n0krrR3KrohstS9smTwEx9olyLYppvC0p5i7dAx2deWvM1ZxKNs0BvcXGukR+/g" BCompare

然后打开Beyond Conpare,弹出Trial Mode Error!弹窗

单击右下角按钮“Enter Key”,输入以下秘钥【注意:包括开始和结尾的横线行】

1
2
3
--- BEGIN LICENSE KEY ---
GXN1eh9FbDiX1ACdd7XKMV7hL7x0ClBJLUJ-zFfKofjaj2yxE53xauIfkqZ8FoLpcZ0Ux6McTyNmODDSvSIHLYhg1QkTxjCeSCk6ARz0ABJcnUmd3dZYJNWFyJun14rmGByRnVPL49QH+Rs0kjRGKCB-cb8IT4Gf0Ue9WMQ1A6t31MO9jmjoYUeoUmbeAQSofvuK8GN1rLRv7WXfUJ0uyvYlGLqzq1ZoJAJDyo0Kdr4ThF-IXcv2cxVyWVW1SaMq8GFosDEGThnY7C-SgNXW30jqAOgiRjKKRX9RuNeDMFqgP2cuf0NMvyMrMScnM1ZyiAaJJtzbxqN5hZOMClUTE+++
--- END LICENSE KEY -----

破解成功的重要标志

5

务必取消更新检测(否则破解过一段时间就会失效)

6

破解失效的解决办法

删除如下的两个文件即可

7

安装并配置Synergy

1
sudo apt install synergy

遇到问题system tray is unavailable, don’t close your window,解决:

1
sudo apt-get install sni-qt

https://vvplus.net/multi-computer-screen-sharing-keyboard-mouse-synergy1-8-8-stable-windows10-mac-linux-download-configuration.html

可用注册码:

1
7B76313B70726F3BE5BDAC20E585B33B313B73796E313333323040676D61696C2E636F6D3B3B3B7D

设置开机启动项

1
sudo apt install gnome-tweak-tool

8

9

10

无法识别exfat格式分区

1
sudo apt install exfat-utils

Firefox无法播放bilibili视频

提示未安装flash,实际上是缺少本地解码器,可以通过安装mplayer解决,(mplayer无界面,转而安装vlc)

1
sudo apt install vlc

vlc无法播放H.264视频

1
sudo apt-get install ubuntu-restricted-extras

安装搜狗输入法

下载.deb

https://pinyin.sogou.com/linux/

安装过程

https://pinyin.sogou.com/linux/help.php

安装结束后卸载ibus框架相关组件,只保留fcitx框架

查看日志,搜狗提示libpng12.0.so找不到,(18.04已经安装了libpng16,不能通过apt安装libpng12,只能手动下载deb包安装)

https://mirrors.ustc.edu.cn/ubuntu/pool/main/libp/libpng/

安装后,搜狗的顶栏图标正常,Xilinx的Docnav也可以打开。

但安装后,出现了两个搜狗输入法的图标,此时对fcitx进行配置,隐藏fcitx的图标。

11

解决AIR 14 2020的声音伪输出的问题

本问题可能由于5.4内核对英特尔最新硬件的支持不足导致的。

step1:对于Ubuntu18.04,升级内核相关的包到最新版本(grub*,linux*)

step2:在/etc/modprobe.d/alsa-base.conf添加中

1
2
3
options snd-hda-intel dmic_detect=0
或者
options snd-intel-dspcfg dsp_driver=1(使用dsp而不是sof)

重启后检查是否有声音,

step3:重新安装(alsa*,不包括alsa-tools等)(pulseaudio*)等包,gstreamer1.0-alsa,gstreamer1.0-pulseaudio等包,

重启。

设置GRUB2默认启动操作系统

1
sudo gedit /etc/default/grub
1
2
3
4
5
6
7
8
# 设定默认启动项,推荐使用数字(0代表第1项,1 >0代表第2项的第1子项)
GRUB_DEFAULT=2

#显示倒计时
GRUB_TIMEOUT_STYLE=countdown

#超时时间,默认为10秒
GRUB_TIMEOUT=6

记得

1
sudo update-grub 

安装清理工具Bleachbit

1
sudo apt install bleachbit

安装PDF批注软件Xournal

1
sudo apt install xournal

安装电子书管理器Calibre

1
sudo apt install calibre

安装音频编辑软件Audacity

1
sudo apt install audacity

安装矢量绘图软件Inkscape

1
sudo apt install inkscape

安装几何画板软件GeoGebra

1
sudo apt install geogebra

安装科学计算软件Octave

1
sudo apt install octave

安装utools插件框架

https://u.tools/

1
sudo dpkg -i xxx.deb

安装下面的插件

12

破解安装Xmind

下载补丁(app.asar),

https://www.ghpym.com/xmindzen.html

安装官方程序,

https://www.xmind.cn/download/

然后进入程序目录/opt/XMind ZEN/XMind/resources
替换此目录的app.asar 文件即可

13

安装VMware

https://www.vmware.com/go/downloadworkstation

1
sudo sh ./VMware-Workstation-Full-15.5.6.x86_64.bundle

显示Successful则成功

安装Gnome Shell插件

打开Gnome软件中心,选择附加组件,

14

已安装:

  • Bing wallpaper
  • Workspace indicator
  • NetSpeed

在这里进行管理,

15

屏蔽邮件服务程序的开机自启

1
systemctl --user mask evolution-addressbook-factory.service evolution-calendar-factory.service evolution-source-registry.service

查看dpkg安装日志

1
grep "\ install\ " /var/log/dpkg.log

安装Windows字体

从Windows系统中找到相应字体文件;

1
sudo mkdir /usr/share/fonts/truetype/windows-font

拷贝字体到Windows目录下

1
2
3
4
5
sudo chmod -R 777  /usr/share/fonts/truetype/windows-font
cd /usr/share/fonts/truetype/windows-font
mkfontscale
mkfontdir
fc-cache -fv

配置科学上网(科技熊、光速云)

https://kejibearstudio.tk/

https://kejibearstudio.tk/user/tutorial?os=linux&client=clash

下载clash的二进制文件

https://github.com/Dreamacro/clash/releases

下载机场的配置文件

1
2
3
4
5
光速云
wget -O gsy-config.yaml "https://136900.xyz/link/glLP6b5aqiOxa6Xg?clash=1&log-level=info"

科技熊
wget -O kjx-config.yaml "https://service-6wrorr47-1254266595.sh.apigw.tencentcs.com/link/MKKO2wk7drvLpKrp?clash=1&log-level=info"

对于光速云

需要修改gsy-config.yaml

1
删除 trojan 测试 项

执行

1
./clash  -d .  -f gsy-config.yaml

Usage of ./clash:
-d string
set configuration directory
-ext-ctl string
override external controller address
-ext-ui string
override external ui directory
-f string
specify configuration file
-secret string
override secret for RESTful API
-t test configuration and exit
-v show current version of clash

使用浏览器访问

http://clash.razord.top/#/proxies

打开系统代理

打开系统设置,选择网络,点击网络代理右边的 ⚙ 按钮,选择手动,填写 HTTP 和 HTTPS 代理为 127.0.0.1:7890,填写 Socks 主机为 127.0.0.1:7891,即可启用系统代理。

16

设置开机启动

1
sh -c "(sleep 15 && cd /home/kopera/Tools/ && clash -d . -f gsy-config.yaml)"

GNOME3安装主题与图标包

theme:控制窗口样式

shell theme:控制顶栏样式(可以不启用)

https://www.pling.com/p/1334194/

home下建立.themes文件夹,放入下载的主题包后,从gnome-tweak-tool中选择

icon theme:控制图标样式

https://www.pling.com/p/1279924/

home下建立.icons文件夹,放入下载的图标包后,从gnome-tweak-tool中选择

安装Foxit Reader阅读器

https://www.foxitsoftware.cn/

17

18

下载.run文件,使用sudo权限安装至/opt/Foxit

安装CopyTranslator

https://github.com/CopyTranslator/CopyTranslator/releases

安装balenaEtcher

https://www.balena.io/etcher/

https://github.com/balena-io/etcher/releases

安装Free Download Manager

https://www.freedownloadmanager.org/zh/download-fdm-for-linux.htm

基于VScode+Vivado的HDL仿真环境

安装插件:

19

配置插件:

20

修改插件源码(以支持linux并且去掉无效功能)

21

22

修改插件源码(修改生成样式)

23

Makefile

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
#指定综合的顶层模块
SYNTH_TOP = pc

#指定仿真的顶层模块
SIM_TOP = $(SYNTH_TOP)_tb

#指定新建工程的序号
INDEX = $(N)

#指定坚果云同步目录
Cur_Dir = $(shell basename `pwd`)
Nut_Path = /home/wg/Nutstore\ Files/我的坚果云/Coding/Verilog

#新建文件
create:
touch src/$(SIM_TOP).v
touch src/$(SYNTH_TOP).v

#编译
build:
mkdir batch_sim
cd batch_sim && xvlog --work mylib ../src/*.v
cd batch_sim && xelab --debug typical -s simTest mylib.$(SIM_TOP)

#首次仿真(Batch Mode)
first:
cd batch_sim && xsim simTest -gui -wdb wave_data.wdb

#仿真(带波形配置)
test:
cd batch_sim && xsim simTest -gui -wdb wave_data.wdb -view ../wave_config.wcfg

#清空输出
clean:
rm -r batch_sim vvd_prj

#调试(Project Mode)
debug:
mkdir vvd_prj
cd ./vvd_prj && vivado -mode tcl -source ../run_sim.tcl -tclargs $(SIM_TOP) $(SYNTH_TOP)

#启动Vivado工程
launch:
cd ./vvd_prj && vivado debug_prj.xpr

#另存工作空间
new_prj:
mkdir ../demoT_$(INDEX)
cp -r src ../demoT_$(INDEX)
cp Makefile run_sim.tcl ../demoT_$(INDEX)

#推送坚果云
push_Nut:
mkdir $(Nut_Path)/$(Cur_Dir)
cp -r src $(Nut_Path)/$(Cur_Dir)
cp Makefile run_sim.tcl $(Nut_Path)/$(Cur_Dir)

Tcl脚本

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
set sim_top [lindex $argv 0]
set synth_top [lindex $argv 1]

create_project debug_prj

add_files -fileset sources_1 -norecurse [glob ../src/*.v]

set_property top $synth_top [current_fileset]
update_compile_order -fileset [current_fileset]

set_property top $sim_top [get_filesets sim_1]
update_compile_order -fileset sim_1

set_property -name {xsim.simulate.runtime} -value {30ns} -objects [get_filesets sim_1]
launch_simulation -mode behavioral
start_gui

致谢

感谢舍友老王的倾力支持