Verilog多路选择器

HDLBits链接

定义

多路选择器(Multiplexer)简称多路器,它是一个多输入、单输出的组合逻辑电路,在数字系统中有着广泛的应用。它可以根据地址码(选择码)的不同,从多个输入数据流中选取一个,让其输出到公共的输出端。


部分练习题

题目描述1:实现一个位宽为1的2-1的多路选择器。当sel=0,选择a,当sel=1,选择b。

Solution1

1
2
3
4
5
module top_module( 
input a, b, sel,
output out );
assign out = sel ? b : a;
endmodule

题目描述2:实现一个位宽为100的2-1的多路选择器。当sel=0,选择a,当sel=1,选择b。

Solution2

1
2
3
4
5
6
module top_module( 
input [99:0] a, b,
input sel,
output [99:0] out );
assign out = sel ? b : a;
endmodule

题目描述3:创建一个位宽为16的9-1的多路选择器。sel=0选择a, sel=1选择b,以此类推。对于未使用的sel值(sel=9~15),将所有输出位设置为1。

Solution3

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
module top_module( 
input [15:0] a, b, c, d, e, f, g, h, i,
input [3:0] sel,
output [15:0] out );

always @(*) begin
case(sel)
4'd0: out = a;
4'd1: out = b;
4'd2: out = c;
4'd3: out = d;
4'd4: out = e;
4'd5: out = f;
4'd6: out = g;
4'd7: out = h;
4'd8: out = i;
default:out = 16'hffff;
endcase
end

endmodule

题目描述4:创建一个位宽为1的256-1的多路选择器。256个输入都被打包成一个256位的输入向量。sel=0表示选择in[0],sel=1表示选择in[1],以此类推。

Solution4

1
2
3
4
5
6
module top_module( 
input [255:0] in,
input [7:0] sel,
output out );
assign out = in[sel];
endmodule

题目描述5:创建一个位宽为4的256-1的多路选择器。256个4位输入都被打包成一个1024位的输入向量。sel=0选择in[3:0],sel=1选择in[7:4],sel=2选择in[11:8],以此类推。

Solution5

1
2
3
4
5
6
module top_module( 
input [1023:0] in,
input [7:0] sel,
output [3:0] out );
assign out = in[sel*4+3 -: 4];
endmodule

tips:

1
2
3
4
data[0 +: 8]  <--等价于--> data[7:0]
data[15 +: 2] <--等价于--> data[16:15]
data[7 -: 8] <--等价于--> data[7:0]
data[15 -: 2] <--等价于--> data[15:14]

总结

  • 学习了多路选择器的相关知识。
  • 学习了可以根据向量索引进行数据的选择,要注意的是被选取数据的位宽是否为常数,合理使用 +=、-=语法。